TSMC가 불씨 당겨...2026년부터 1.6나노 생산 시작
인텔도 5개 공정 로드맵 발표...삼성도 내년 양산 목표
[미디어펜=조우현 기자]삼성전자와 TSMC, 인텔 등 파운드리(반도체 위탁 생산 업체) 3사가 초미세공정 개발에 공을 들이고 있다. 인공지능(AI) 시장 성장에 따라 첨단공정 요구가 증가하면서 2나노미터(㎚·10억분의 1m) 시장 선점에 치열한 모습이다.

27일 업계에 따르면, 불씨는 대만의 TSMC가 당겼다. TSMC는 현지시간 24일 미국 캘리포니아주 샌타클래라에서 열린 '북미 기술 심포지엄'에서 2026년 하반기부터 1.6나노 공정을 통한 반도체 생산을 시작할 예정이라고 밝혔다.

   
▲ 삼성전자와 TSMC, 인텔 등 파운드리(반도체 위탁 생산 업체) 3사가 초미세공정 개발에 공을 들이고 있다. 인공지능(AI) 시장 성장에 따라 첨단공정 요구가 증가하면서 2나노미터(㎚·10억분의 1m) 시장 선점에 치열한 모습이다. /사진=삼성전자 제공


TSMC 공동 최고운영책임자(COO)인 Y.J. 미이는 이날 "새로운 칩 제조 기술인 'A16'이 2026년 하반기 생산에 들어간다"고 발표했다. 'A16' 기술은 1.6나노 공정을 의미한다.

미이 COO는 "A16 기술을 통해 칩 뒷면에서 전력을 공급할 수 있어 인공지능 칩의 속도를 높일 수 있다"며 "이는 인텔과 경쟁하고 있는 분야"라고 말했다.

TSMC는 그동안 2025년 2나노에 이어 2027년 1.4나노 공정을 통한 생산 계획을 밝힌 바 있다. 그러나 공정 로드맵을 상세히 밝힌 것은 이번이 처음이다.

이에 따라 미세공정 주도권을 둘러싼 경쟁 역시 치열해질 전망이다.

실제로 지난 2021년 파운드리 사업 재진출을 선언한 인텔 역시 지난 26일 1분기 실적을 발표하며 4년 안에 인텔 7, 인텔 4, 인텔 3, 인텔 20A, 인텔 18A(옹스트롬, 1.8nm급) 등 5개 공정을 순차적으로 완성하겠다는 파운드리 로드맵을 공개했다.

옴스트롬은 100억 분의 1m로, 기존 초정밀 반도체 공정인 nm보다 더 세밀한 단위의 표기다. nm는 반도체 회로 선폭을 의미하는 단위로, 선폭이 좁을수록 소비전력은 줄고 처리 속도는 빨라진다. 현재 세계에서 가장 앞선 양산 기술은 3nm다.

또 20A는 올해 하반기 중 양산하며, 18A는 올 2분기 1.0 PDK(프로세스 디자인 키트)를 내놓은 뒤 내년 상반기 양산에 돌입한다.

인텔은 특히 18A 공정에 6번째 고객이 합류했다는 소식도 전했다.

앞서 인텔은 지난 2월 마이크로소프트(MS)가 인텔의 1.8A 공정 고객사가 됐다고 밝힌 바 있다. 인텔은 18A에 이어 차세대 공정인 14A(1.4㎚)를 도입하겠다는 중장기 계획도 거듭 강조했다. 로드맵에 따르면 오는 2027년부터 1.4A 공정 양산이 시작된다.

삼성전자 역시 내년 국내 양산을 목표로 2나노 공정 개발에 공을 들이고 있다. 향후 게이트올어라운드(GAA) 기술을 2나노에 적용해 TSMC와 차별화한 경쟁력을 갖추겠다는 계획이다.

GAA는 삼성전자가 최초 개발한 기술로 기존 핀펫(FinFET) 기술보다 반도체 전력 소모와 성능을 개선했다. 삼성전자는 올해 하반기 GAA 3나노 양산을 준비 중이다. 삼성전자는 텍사스 테일러 파운드리 공장에도 2나노 라인을 설치할 계획이다.
[미디어펜=조우현 기자] ▶다른기사보기